OREANDA-NEWS. Altera, now part of Intel, today announced the production release of the new Quartus® Prime Pro design software, which further accelerates FPGA design performance and design team productivity. The Quartus Prime Pro software is architected to support the next generation of high capacity, highly integrated FPGAs from Intel, which will drive innovation across the cloud, data center, Internet of Things, and the networks that connect them. The capabilities built into the latest software release accelerate large FPGA design flows by delivering unprecedented compile time improvements, versatile design entry methods and simplified intellectual property (IP) integration.

“Quartus Prime Pro design software builds upon decades of software innovations that help to shorten FPGA design cycles and make our tools easier to use,” said Bernhard Friebe, director of FPGA software and IP marketing at Intel. “The software tools, IP cores and design entry methods that we offer will broaden and strengthen Intel’s FPGA user base, while significantly increasing designer productivity and enhancing the overall customer experience.”

The Quartus Prime Pro software v16.0 delivers a design methodology that is optimized for large designs with more than one million logic elements. At the same time, users can leverage the software’s incremental optimization feature to reduce design iterations and accelerate timing closure.

Quartus Prime Pro Software v16.0 highlights:

  • Production release of Quartus Prime Pro Edition with hierarchical databases, targeting the next generation of high capacity, highly integrated FPGAs from Intel.
  • Production release of BluePrint platform designer, which reduces design iterations by 10X by allowing designers to make pin assignments and clock planning early in their design.
  • Qsys Pro system integration tool with a hierarchical framework to support multiple design entry formats and simplify IP integration.
  • Incremental optimization support to reduce design iterations.
  • Partial reconfiguration support for Arria 10 FPGAs & SoCs.

Additional information about the features in the Quartus Prime Pro design software version 16.0 can be found at altera.com/whatsnew.

Access to Altera’s Expanding IP Portfolio
The Quartus Prime design software provides users access to an expanding selection of IP cores. These IP cores, in combination with numerous IP usability enhancements, help to improve designer productivity by simplifying IP evaluation, IP selection and hardware verification. New Ethernet, Hybrid Memory Cube memory and Video IP cores extend the comprehensive suite of high-performance, low-latency IP cores for industry-standard protocols. More information about Altera’s IP solutions can be found at the “what’s new in IP” web page.